Welcome![Sign In][Sign Up]
Location:
Search - vhdl spwm

Search list

[VHDL-FPGA-Verilogpwm

Description:
Platform: | Size: 214016 | Author: 熊辉波 | Hits:

[VHDL-FPGA-VerilogPLL

Description: PLL 时钟模块  Quartus II平台的简单设计实例 附仿真波形-PLL clock module Quartus II platform attached to a simple design example simulation waveforms
Platform: | Size: 806912 | Author: 许东滨 | Hits:

[VHDL-FPGA-VerilogFPGAdezizhixingSPWMboChengXu

Description: 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit as the core of the power electronic devices have a wide range of applications, how to generate SPWM pulse sequence and its implementation means PWM technology is the key. Kazakhstan investigate everyone!
Platform: | Size: 4096 | Author: 小喻 | Hits:

[VHDL-FPGA-VerilogSPWM_ADC_LCD

Description:
Platform: | Size: 125952 | Author: zyb | Hits:

[VHDL-FPGA-VerilogSPWM

Description: VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
Platform: | Size: 7168 | Author: zyb | Hits:

[VHDL-FPGA-VerilogPulse_Width_Modulator_Altera_MAX_II_CPLD_Design_E

Description: Example VHDL project showing how to use a PWM by CPLD
Platform: | Size: 290816 | Author: maros | Hits:

[SCMpwm

Description: pwm的占空比和死区时间可调的Verilog HDL程序设计和测试-duty cycle of pwm and adjustable dead time of the Verilog HDL design and testing procedures
Platform: | Size: 1024 | Author: chenhaoran | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 用cpld开发的关于生成spwm波的vhdl程序代码-Cpld developed by spwm waves on the generation of vhdl code
Platform: | Size: 353280 | Author: 高原 | Hits:

[Software EngineeringFPGA-basedmulti-channelSPWMControllerResearchandDe

Description: 基于FPGA的多路SPWM控制器的研究与设计,收费硕士论文,文章详细研究了SPWM控制器的VHDL实现.-FPGA-based multi-channel SPWM Controller Research and Design, charges master paper, the article detailed study of the SPWM controller VHDL implementation.
Platform: | Size: 1847296 | Author: Jiang Guangxiu | Hits:

[Software EngineeringSPWM_1.0

Description: 该软件实现了SPWM,能够对永磁同步电机实现变速控制,软件各模块独立,程序移植性高-The software implementation of the SPWM, can achieve variable speed permanent magnet synchronous motor control software modules independent, porting high
Platform: | Size: 917504 | Author: 王雨 | Hits:

[VHDL-FPGA-Verilogpgvhdl2

Description: document word spwm vhdl
Platform: | Size: 11264 | Author: vhdl | Hits:

[VHDL-FPGA-Verilogpgvhdl3

Description: document word vhdl spwm
Platform: | Size: 9216 | Author: vhdl | Hits:

[VHDL-FPGA-Verilogpgvhdl4

Description: vhdl code spwm programme
Platform: | Size: 9216 | Author: vhdl | Hits:

[VHDL-FPGA-Verilogpgvhdl55

Description: documennt word vhdl spwm
Platform: | Size: 8192 | Author: vhdl | Hits:

[VHDL-FPGA-VerilogPWM_DA

Description: 随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等,而在镍氢电池智能充电器中采用的脉宽PWM法,它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。-With the development of electronic technology, a variety of PWM techniques, including: phase voltage control PWM, pulse width PWM method, random PWM, SPWM method, line voltage control PWM, etc., and in the nickel-metal hydride batteries used in smart charger pulse PWM method, which is equal to the width of each pulse of the pulse train as the PWM waveform, the cycle by changing the pulse train can be frequency, pulse width or duty cycle change can surge with appropriate voltage control method can make and changes in frequency coordination. Can adjust the PWM cycle, PWM duty cycle to achieve the purpose of controlling the charge current.
Platform: | Size: 196608 | Author: | Hits:

[VHDL-FPGA-VerilogFPGA

Description: spwm dcac逆变 fpga与单片机一起作用-sdad
Platform: | Size: 2644992 | Author: YZ | Hits:

[VHDL-FPGA-Verilogspwm

Description: 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogspwmlast

Description: 基于fpga的spwm波形产生代码-On fpga SPWM waveform code
Platform: | Size: 568320 | Author: 王子 | Hits:

[VHDL-FPGA-VerilogSPWM_TEST

Description: 这是一个有关SPWM的程序,本人已经调试好。欢迎使用-This is a program for SPWM, I have good debugging. Welcome to
Platform: | Size: 6106112 | Author: steef | Hits:

[VHDL-FPGA-VerilogSPWM

Description: 基于FPGA的正弦脉宽调制波vhdl代码,同时输出正弦波与SPWM-Sine pulse width modulation wave VHDL code based on FPGA, at the same time with SPWM output sine wave
Platform: | Size: 1134592 | Author: 黄文举 | Hits:
« 12 »

CodeBus www.codebus.net